第六届(2003年)全国大学生电子设计竞赛题目
A题 | B题 | C题 | D题 | E题 | F题

简易逻辑分析仪(D题)

 

一、任务

设计并制作一个8路数字信号发生器与简易逻辑分析仪,其结构框图如图1所示:

二、要求

1、基本要求

1)制作数字信号发生器

能产生8路可预置的循环移位逻辑信号序列,输出信号为TTL电平,序列时钟频率为100Hz,并能够重复输出。逻辑信号序列示例如图2所示。

2)制作简易逻辑分析仪

a.具有采集8路逻辑信号的功能,并可设置单级触发字。信号采集的触发条件为各路被测信号电平与触发字所设定的逻辑状态相同。在满足触发条件时,能对被测信号进行一次采集、存储。

b.能利用模拟示波器清晰稳定地显示所采集到的8路信号波形,并显示触发点位置。

c8位输入电路的输入阻抗大于50kΩ,其逻辑信号门限电压可在0.25~4V范围内按16级变化,以适应各种输入信号的逻辑电平。

d.每通道的存储深度为20bit


2 重复输出循环移位逻辑序列00000101

2.发挥部分

1)能在示波器上显示可移动的时间标志线,并采用LED或其它方式显示时间标志线所对应时刻的8路输入信号逻辑状态。

2)简易逻辑分析仪应具备3级逻辑状态分析触发功能,即当连续依次捕捉到设定的3个触发字时,开始对被测信号进行一次采集、存储与显示,并显示触发点位置。3级触发字可任意设定(例如:在8路信号中指定连续依次捕捉到两路信号110100作为三级触发状态字)。

3)触发位置可调(即可选择显示触发前、后所保存的逻辑状态字数)。

4)其它(如增加存储深度后分页显示等)。

三、评分标准

项目

满分



基本要求

设计与总结报告:方案比较、设计与论证,理论分析与计算,电路图及有关设计文件,测试方法与仪器,测试数据及测试结果分析

50

实际制作完成情况

50

发挥部分

完成第(1)项

18

完成第(2)项

18

完成第(3)项

5

其它

9

四、说明

1、系统结构框图中的跳接线必须采取可灵活改变的接插方式。

2、数字信号的采集时钟可采用来自数字信号发生器的时钟脉冲clock

3、测试开始后,参赛者不能对示波器进行任何调整操作。

4、题中涉及的“字”均为多位逻辑状态。如图2中纵向第一个字为一个8位逻辑状态字(00000101),而发挥部分中的3级触发字为2位逻辑状态。

A题 | B题 | C题 | D题 | E题 | F题
  → 『关闭窗口』

版权所有   福星电子

建议使用分辨率800*600,IE5.0以上浏览

Email:huxin153@163.com